Abstract
In recent years, the process requirements of nano-devices have led to the gradual reduction in the scale of semiconductor devices, and the consequent non-negligible sidewall defects caused by etching. Since plasma-enhanced chemical vapor deposition can no longer provide sufficient step coverage, the characteristics of atomic layer deposition ALD technology are used to solve this problem. ALD utilizes self-limiting interactions between the precursor gas and the substrate surface. When the reactive gas forms a single layer of chemical adsorbed on the substrate surface, no reaction occurs between them and the growth thickness can be controlled. At the Å level, it can provide good step coverage. In this study, recent research on the ALD passivation on micro-light-emitting diodes and vertical cavity surface emitting lasers was reviewed and compared. Several passivation methods were demonstrated to lead to enhanced light efficiency, reduced leakage, and improved reliability.
Similar content being viewed by others
Introduction
The development of atomic layer deposition (ALD) technology began in the 1970s. In 1977, Dr. Tuomo Suntola of Finland, formally applied for the first patent for ALD technology [1]. Between 1983 and 1998, ALD technology was applied to the production of electronic displays at the Helsinki Airport in Finland. In the late 1990s, owing to the introduction of the ALD process in the semiconductor industry, considerable research and development funds and manpower were invested which contributed significantly to the rapid growth of ALD process technology. In 2007, Intel used the ALD process technology to grow a hafnium dioxide (HfO2) gate passivation layer, which was applied to a metal oxide half field effect transistor on a 45 nm microprocessor, further consolidating the importance of ALD process technology in the semiconductor industry [2].
ALD is based on surface chemical reactions [3] and is characterized by excellent atomic-level thickness accuracy, large-area high uniformity, and conformity of the film on the structure with a high aspect ratio. Unlike traditional chemical vapor deposition (CVD) or physical vapor deposition (PVD), as shown in Fig. 1, the ALD process usually uses two different chemical precursors. These are passed into the reaction chamber at different times to form two half-cycle reactions, and all chemical reactions are confined to the surface by chemisorption. These two half-cycle reactions, which constitute an ALD cycle that facilitates the deposition of a monolayer film, can be repeated layer-by-layer to grow the film. These surface chemical reactions occur under the conditions of a self-limiting reaction, which is the ALD process window. The usage of two half-cycle reactions to deposit the film avoids the simultaneous presence of two chemical precursors in the reaction chamber, and a deposition mode such as CVD is formed, enabling the ALD technology to precisely control the film thickness and uniformity [4,5,6,7].
The growth rate of ALD films is expressed by the growth per cycle (GPC) [8, 9]. In general, the GPC ranges in thickness from 0.05 to 0.1 nm. The choice of chemical precursors affects the quality of the film, its growth rate and the time required for the process. The ALD deposition procedure consists of four sequential steps: pulse A, clean A, pulse B, and clean B. Pulse A consists of metallic precursor vapors, and pulse B consists of nonmetallic precursor vapors. Inactive gases such as nitrogen or argon and vacuum pumps are used to clean gaseous reaction by-products and residual reactant molecules from the reaction space during cleaning A and cleaning B. The depositional sequence includes at least one depositional cycle. The deposition cycle is repeated until the deposition sequence has produced a film of desired thickness.
The self-limiting reaction forms the core of ALD [10,11,12,13]. Setting and adjusting the process parameters (such as process temperature, chemical precursor selection, dosage, etc.) to enable the surface chemical reaction attain the self-limiting condition is the first step in the development of the ALD process. In the case of meeting the ALD process window, all chemical reactions occur on the surface, satisfying the self-limiting conditions. Therefore, if sufficient chemical precursor molecules are introduced in each ALD cycle, the total amount of chemical precursors participating in the surface chemical reaction depends on the number of surface reactive groups. If the process temperature is controlled at a level where the chemical precursor molecules are not within the range of physical adsorption and auto thermal cracking, an atomic layer can be deposited uniformly on all substrate surfaces in each ALD cycle. Consequently, ALD technology has excellent uniformity and conformal ability and can reduce the thickness of the film. The accuracy of the control is a key factor at the atomic level [14,15,16,17].
The most important application of ALD is in the field of semiconductors [18,19,20,21,22], such as the preparation of high-k dielectrics, metal thin films, copper barrier films, and etch stop layers for fin field-effect transistors (FinFETs) [23,24,25,26,27,28], oxide passivation layers, and anti-reflection layers for LEDs and VCSELs. The very uniform coverage and high-density film characteristics of ALD make it suitable for devices that are sensitive to water and oxygen. Therefore, ALD has become the best coating tool for protective layers of devices that require high reliability. The water vapor transmission rate (WVTR) is an important indicator for measuring the resistance of the film to water and oxygen, especially for flexible organic light-emitting diode (OLED) displays, which are sensitive to water vapor [29,30,31,32,33,34,35,36,37]. Its value needs to reach 10−4 g/m2-day or less. For other high-power VCSELs, power devices, and high-end LEDs, their WVTR needs to be at least less than 10−3 g/m2-day to ensure reliability in harsh environments. Hence, these devices have begun using ALD passivation to ensure its stability [38,39,40,41,42,43,44]. In addition to the above-mentioned applications, photovoltaics [45,46,47], lithium batteries [48,49,50], fuel cells, and micro-electromechanical systems (MEMS) devices also use many ALD processes [6, 51,52,53]. In this article, we focus on the effects of ALD technologies on device performance and review the case of VCSELs. In addition, this article provides an overview of ALD processes for improving the performance of VCSELs.
ALD Technologies for Micro-LEDs
The blue-green LED is mainly composed of InGaN-based materials. Owing to its crystal structure, it is a piezoelectric material. It has a strong built-in electric field, which affects the emission wavelength and carrier recombination efficiency of the active area. This phenomenon is called the quantum confined Stark effect (QCSE), which is one of the main reasons for the luminous efficiency of LEDs [54]. Therefore, the research team used the characteristics of the QCSE via a ring-shaped nanostructure on a green epitaxial wafer. The fabrication of the structure releases the stress in the active area of the LED to achieve wavelength modulation. It modulates the emission wavelength from green to blue because the nanostructure sacrifices part of the luminous area and reduces the luminous intensity [55]. Figure 2 shows a schematic of the micro-LED (μ-LED). As the size of the μ-LED decreases, the sidewall defects have a greater impact on the wafer, leading to a decrease in the luminous efficiency of the chip [56,57,58]. Passivation in micro-sized LEDs is usually accomplished using plasma-enhanced chemical vapor deposition (PECVD), which uses hydrogen-based precursors to achieve rapid deposition rates [30, 32]. ALD is preferred for micro-sized LEDs. In comparison with the passivation layers deposited by PECVD, ALD is capable of depositing highly compact dielectric films with nanometer-scale thickness. ALD provides a promising approach for the passivation of μ-LEDs by offering compact and dense dielectric films along with better control over the film thickness. Therefore, many research teams have introduced ALD thin-film passivation protection technology to replace the traditional PECVD method. F Koehler et al. have reported that standard PECVD can deposit film at moderate temperatures (400 °C) but suffers from strong loading effects. ALD has the advantage of good conformality at low temperatures. Moreover, ALD shows superior wafer-to-wafer and within-wafer uniformity [59,60,61]. In addition, Milojevic [38] reported that the increase in leakage current in smaller μ-LEDs may be due to the dielectric quality of PECVD. This increase revealed that PECVD sidewall passivation was insufficient to reduce leakage current for μ-LEDs with large perimeter/area ratio; Nakamura et al. have investigated the optoelectronic effects of sidewall passivation on Μicro-LEDs using ALD and PECVD, and these results also revealed that ALD is more beneficial for the enhancements of the optical and electrical effects [62]. The ALD passivation protection layer has high density, high step coverage, effective defect repair, and other features which prevent carriers from being trapped by defects on the surface of the device. Thus, the luminous intensity of the device increases greatly, resulting in improved efficiency [44, 55, 60, 63,64,65,66,67].
Considering the influence of the passivation protection layer grown by PECVD and ALD on the leakage current, Fig. 3 shows the leakage current diagrams of the red μ-LED after PECVD and ALD passivation protection [66,67,68]. The average leakage current of the device using ALD is observed to be much lower than that obtained using PECVD. Further, the leakage current increases uniformly as the component size is reduced owing to the increase in the surface-to-volume ratio of the small devices and additional leakage path under reverse bias.
To illustrate the influence of the passivation protection layer on the optical power of the red light μ-LED, Fig. 4 compares the optical power of the PECVD and ALD passivation protection layers for different sizes and current densities. It can be seen that when the component size is above 15 µm, the optical power of the PECVD and ALD passivation layers can reach satisfactory levels, but when the component size is less than 5 µm, ALD outperforms the passivation protection provided by PECVD. From the comparison between devices with different coatings and different current levels, a dramatic drop in terms of integrated optical power can be observed. Under the same current density and different sizes, the difference in the optical power provided by ALD is 570 times, while the components using PECVD are as high as 850 times. This shows that ALD still provides excellent passivation for small components. The protective layer enables the continuous suppression of surface defects and increases the radiation recombination efficiency. Further, the passivation protection provided by ALD increases the reliability of the device. Since the dry etching process can cause damage and defects on the sidewalls, the impact of the sidewall defects may increase proportionally when the device size is reduced, leading to premature performance degradation. Thus, the quality of the passivation layer is particularly important. The above results demonstrate that as the size of the device shrinks, the devices protected by ALD passivation perform better under different conditions. In future advanced manufacturing processes, ALD technology is expected to continue to play an important role.
Considering the influence of the EQE, the present study determined the presence of a passivation layer on the sidewall and compared the effects of the passivation layers produced through ALD and PE-CVD on the EQE as follows: LED-1: μ-LED not subjected to the sidewall passivation; LED-2: μ-LED subjected to passivation of the ALD sidewall and inductively coupled plasma etching; LED-3: μ-LED subjected to passivation of the PE-CVD sidewall and HF etching; LED-4: μ-LED subjected to passivation of the ALD sidewall and HF etching. Figure 5a, b displays the EQEs obtained for 100 × 100 μm2 and 20 × 20 μm2 devices, respectively, to illustrate the effects of different sidewall passivation techniques. All the passivated 100 × 100 μm2 μ-LEDs had a similar peak EQE (LED-1, 40%; LED-2, 36%; LED-3, 38%; and LED-4, 41%). Owing to the small perimeter–area ratio, the sidewall damage had little effect on the device performance. Therefore, sidewall passivation did not affect large μ-LEDs significantly. Furthermore, the EQE is less affected by sidewall damage in the case of larger devices and does not improve with sidewall passivation. For the 100 × 100 μm2 sample, regardless of the sidewall passivation method used, the EQE drop varied although the maximum EQE remained constant. For μ-LEDs with an area of 20 × 20 μm2, the EQE achieved with and without ALD passivation was 33% and 24%, respectively. This result is attributed to the combined effects of enhanced light extraction, surface reorganization, and reduced leakage current caused by sidewall damage.
Consequently, μ-LED technology has great potential for altering the solid-state lighting business, and it will certainly be a game-changing display technology. Sidewall passivation is essential to maintain the dependability required for high performance with further reduction in the size of the device. In general, ALD is a key technique for performing sidewall passivation to prevent a drop in the efficiency of μ-LEDs, as their characteristic size is reduced to the microscale owing to the leakage current [69].
ALD Technologies for VCSEL
There are many advantages in oxide VCSELs, such as better modal stability and low jitter for data transmission applications, as well as low cost in non-hermetic transceiver packages. However, owing to the high power density of the laser, the oxide VCSEL also requires more protective methods to prevent the mutation of laser characteristics.
The VCSEL consists of three parts: the top distribution Bragg reflector (p-DBR), cavity, and bottom n-DBR. The DBR consists of 20–40 pairs of thin films. The cavity is generally several microns thick. Compared to the gain length of the side emitter, the gain length of the active layer of the VCSEL is very small (few tens of nanometers). To obtain the stimulated emission of radiation light, The DBR must have a very high reflectivity for stimulated emission of radiation light to occur. To improve the characteristics of VCSELs, ALD has been applied to prepare the passivation layer, DBR, multiple quantum wells (MQWs), and even the transparent electrodes of VCSELs.
ALD Technologies for the Passivation Layer of VCSELs
ALD has been applied for the enhancement of the reliability of quantum dots and LCD [31, 32, 70, 71], for the passivation layer of VCSEL, the uniform and dense coating thin film of ALD can enhance its reliability. The insertion of the dense film as an oxide passivation layer for VCSELs is very important for protecting the cavity of the VCSEL. Earlier, protective films were usually plated using PECVD. However, a thick film is usually required to maintain the compactness of the film, which causes excessive stress and affects the reliability of VCSELs. ALD technology can deposit Al2O3 thin films with characteristics similar to those of the passivation layer of VCSELs, and the uniform and dense coating thin film can completely insulate the protection chip. Thus, ALD has been used to replace PECVD as the best coating process for the passivation layers of VCSELs.
The reliability of the oxide VCSELs was very high in the 85/85 (85 °C and 85% relative humidity, RH) test owing to the oxidation layer of AlGaAs with higher Al concentration in comparison with to the DBR layers. A higher Al oxide aperture led to corrosion delamination at the oxide–semiconductor interface. Xie et al. showed that dislocation growth, gross cracking, and aperture surface degradation occurred in a significant percentage of oxide VCSELs exposed to moisture [72]. Herrick et al. also observed similar failures arising from exposure to humidity in an 85/85 chamber [73]. In the past twenty years, many researchers and companies have invested in research on the prevention of aging in VCSEL elements caused by the ingress of moisture and proposed a wide range of protective passive film and layout design changes. For example, in 2004, Agilent Technologies proposed etch hole and fill into the polymer to prevent moisture exposure [74]. In 2006, Debrabander proposed the mesa passivation film pin hole detection method [75], while in 2014, TrueLight proposed the SiON passivation film [76]. Here, we only cite some studies as examples. This study mainly used Al2O3 films grown by ALD and formed a complex stacked for moisture-proof passivation films with PECVD-grown SiNx. We designed the experiment and conducted a comparative study to show the improvement of the 85/85 test failure with the ALD layer, and the resistant moisture dielectric layers of the VCSEL structure deposited by PECVD and ALD were denoted as device A and device B, respectively.
Figure 6 shows the structure of the VCSEL, including n-type DBR, MQW layers, a high Al content (~ 0.98) AlGaAs oxide aperture layer, and p-type DBR layers. The following description relates to the labels. The moisture-resistant passivation layers were only deposited by ALD on the sidewall of device B after oxidation.
Table 1 shows the comparison of VCSELs in this work and other groups. In this work, the LIV, S 21, eye diagram and the wet high-temperature operation life (WHTOL) of VCSELs with and without ALD have been studied, and these results show that ALD did not affect the photoelectric and communication properties of high-speed VCSELs, but improve the reliability of high-speed VCSELs.
As shown in Fig. 7, the 53 Gb/s error-free transmission up to 100 m in G-I single-mode fiber (SMF) under pre-emphasis NRZ-OOK modulation is obtained with the 6 μm diameter of oxide aperture in the few-mode (FM) VCSEL. In this work, the technique of ALD has been used to enhance the reliability of FM VCSEL.
The DC, AC, and transmission performances of device B are demonstrated. Figure 8a, b shows the light–current (L-I) curve and small-signal modulation response of device B at 25 °C. Figure 9 depicts the pulse amplitude modulation 4-level (PAM4) eye diagram at 56 Gb/s under a bias of I = 8 mA at 25 °C. Figure 10a, b shows the on–off keying (OOK) eye diagram at 28 Gb/s under a bias of I = 8 mA of device B at 25 °C and 75 °C.
To study the improvement in moisture resistance through passivation of the Al2O3 ALD layer, two devices coated with dielectric layers were defined. In device A, the dielectric layer coated only SiNx, while in device B, it coated Al2O3 ALD and SiNx together. SiNx, polyimide, SiNx, and metallization were completed in sequence. 1st p-metal formed an ohmic contact with the GaAs top material. 2nd p-metal is a bond pad metal. The complex stacked passivation films covered the mesa surface in device B efficiently. The package of aging devices was prepared in open-can TO.
The environmental conditions for aging were 85 °C and 85% RH, with a 6 mA bias for wet high-temperature operation life (WHTOL). The quantities of input for devices A and B were 18 and 18, respectively. The results are presented in Fig. 11. In device A, there were five failure chips, and failures were found randomly within 500 h. In contrast to device B, no failure occurred until 960 h. The results are shown in Fig. 11a, b. These results indicate that the ALD-grown Al2O3 ALD film has better encapsulation on the mesa sidewall than only the PECVD-grown SiNx film for preventing moisture ingress.
ALD Technologies for the DBR of VCSEL
The DBR of VCSEL deposit by ALD has the advantage of good adhesion with sapphire substrate and good condition at the interface of different dielectric layers of DBR [80]. According to the structure of the VCSEL, the cavity in the vertical direction of the laser is formed by two groups of mirrors clamping the active layer, and the light is reflected between the p-DBR and n-DBR several times before propagating into the air. The structure of the DBR consists of two kinds of semiconductor materials with different refractive indices, and the periodic thickness of the DBR should be accurately controlled at λ/4 (λ denotes the center wavelength). The design and growth methods form the basis for the fabrication of high-reflectivity DBR. For a high-quality DBR, the phase interference effect aids in strengthening the light in the cavity, while the high reflectivity of DBR (> 99%) can greatly improve the threshold gain and quantum efficiency of VCSELs. To achieve high reflectivity, wide stop band, and gentle phase response, the periods of the DBR should lie between 20 and 40, and the materials used in its fabrication should have a large difference in refractive index. In this case, many groups have reported that AIAs/GaAs, Al2O3, HfO2, SiO2, etc., are suitable for the growth of DBR.
There are various growth methods for DBR, including molecular beam epitaxy (MBE) and metal–organic vapor deposition (MOCVD). However, ALD is also a precise growth methods for DBR. For the DBR prepared by ALD with low thickness, high reflectivity, and low roughness can improve the properties of VCSELs effectively, many researchers have investigated techniques for enhancing the DBR using ALD techniques. For example, in 1997, Huffaker [81] proposed that the strain owing to the lower DBR can be reduced by using AlxO1-x layers whose thickness was less than that of a quarter-wave. In 2013, Guo et al. [82] reported that the DBR made by ALD process has been shown to have better quality than that made by EBE, and the ALD process time is nearly the same as the EBE process or even less. Moreover, to grow a DBR composed of at least two or more kinds of materials, it is generally necessary to raise and lower the temperature frequently in the EBE process, whereas the temperature can be maintained the same in the ALD process for depositing different materials. In 2017, Liu [83] reported that the ALD method could be applied to prepare high-quality layers with sharp interface and good uniformity. Thus, ALD is suitable for depositing high-quality DBR.
For example, Sakai et al. proposed the on-wafer fabrication of etched-mirror UV-C laser diodes (LDs) with an ALD-deposited DBR, which contribute to reducing the lasing threshold current density. In this study, four periods of HfO2 and Al2O3 were deposited using ALD for the DBR. Al2O3 was selected as the lower refractive index material because its deposition rate is remarkably higher than that of SiO2. Figure 12 shows the TEM image of DBR deposited by ALD, which shows the excellent thickness and quality of DBR.
The technique of MOCVD has been widely used in the deposition of DBR for many advantages such as precise growth control of film and the deposition of high-quality film. However, in the actual production process, the interface condition will be affected at the interface of different dielectric layers of DBR; otherwise, the design method of DBR would require complex optimization such as the deposition of another extra buffer layer at the interface of different dielectric layers. Figure 13a shows the transfer matrix method (TMM) calculation for the reflectivity of DBR with MOCVD without the insertion of buffer layer. The reflectivity spectra of the HfO2/Al2O3 DBR deposited with ALD are more consistent with the calculated TMM spectrum in Fig. 13b [85]. In this case, the technique of ALD can deposit DBR with better interface between different dielectric layers compared with MOCVD (Fig. 14).
ALD Technologies for the Multiple Quantum Wells of VCSELs
Owing to the high requirement for optical gain in VCSELs, most devices use MQWs as the active region. In general, when the MQW period increases to a certain value, the threshold current density of the VCSEL is mainly caused by the following three factors. First, for the width of the quantum well, the active region cannot overlap with the peak position of the waveform. The farther the quantum well from the peak position, the lower is its gain efficiency. Therefore, distant quantum well regions cannot play a significant role in improving the optical gain. Second, there is a direct proportional relationship between the total transmittance current and period of MQWs. The total transmittance current increases with the MQW period because the transmittance current is a part of the threshold current of the device. Therefore, the threshold current of the device will increase with the transmittance current. Third, as the current increases, the slope of the optical gain to current curve will decrease, increasing the threshold current of the device. Hence, thin MQWs with high crystalline quality and optical properties are promising candidates for realizing VCSELs.
For the MQWs of VCSEL, ALD can optimize the interface properties and surface recombination of MQWs [80, 83,84,85]. In the last several decades, methods for optimizing MQWs using ALD have been studied. In 2008, Lo et al. reported the successful growth of high-quality ultraviolet (UV) AlGaN/GaN MQWs structures using ALD [87]. In the same year, Bosund et al. [88] proposed that a thick TiN passivation layer deposited by ALD on top of InGaAs/GaAs can significantly increase the photoluminescence intensity and carrier lifetime of the MQWs, while Li et al. reported that a low dislocation density ultraviolet (UV) AlGaN/GaN MQW structure can be grown using the ALD technique. In 2019, Lee et al. [89] proposed that the emission intensity of the 860 nm GaAs VCSEL with SiN anti-reflection film was significantly increased (compared to the VCSEL without the SiN anti-reflection film) to improve the light extraction efficiency of a VCSEL. Here, we cite a few studies as examples.
A deep understanding of semiconductor–dielectric interface properties will provide guidelines for optimizing efficient passivation solutions for InGaN/GaN-based µ-LEDs. To this end, quantum well (QW) semiconductors are of tremendous interest because many surface recombinations are likely to occur at the edges of the LED active regions and are probably responsible for the low µ-LED efficiencies. Thus, Le Maoult et al. [86] studied the X-ray photoemission (XPS) and wavelength dispersive X-ray fluorescence (WDXRF) characteristics of In0.1Ga0.9N surfaces after acid, base, or sulfur-based chemical treatments followed by ALD of Al2O3 thin films with TMA/H2O or TMA/O2 plasma (plasma-enhanced ALD) at 250 °C.
The ALD of Al2O3 with H2O as a weak oxidizer does not seem to significantly modify the InGaN surface. Indium depletion occurs as the In4d intensity decreases, as observed previously in the case of the HCI or NH4OH-treated surfaces only (upper portion of Fig. 15a, b). On the contrary, during the PEALD of A12O3 (strong oxidizer), the NH4OH-treated surfaces changed compared to HCl because the In4d component level is indistinguishable from the PEALD reference (as illustrated by Fig. 15a, bottom, and 15b). Then, if indium is assumed to be the main species sensitive to plasma-induced oxidation, the indium-depleted surfaces after HCI treatment would indeed remain in a stable state of oxidation regardless of the ALD or PEALD process. On the contrary, a higher proportion of indium from the NH4OH-treated surfaces is more likely to be oxidized by the plasma species.
If a 30' (NH4)2S surface treatment with or without prior treatment with NH4OH is performed before ALD, the quantitative analysis seems to report (Fig. 15b) results similar to those observed previously without the alumina layer. As an example, a similar decrease in the indium proportion was observed (~ 75%). However, a slight increase in the O–Al-related component from the O1s line was observed for the sample that underwent the double surface treatment, probably owing to the increased surface hydrolysis by the first NH4OH treatment. Thus, ALD does not seem to significantly modify the initial state of the (NH)S-treated surfaces. However, these results do not provide quantitative information regarding the proportion of sulfur after the deposition of Al2O3 by ALD. Considering that sulfur was adsorbed on InGaN before deposition, further investigations are required to determine the evolution of sulfur bonds after ALD of Al2O3, especially if binding state differences with stronger oxidizing processes such as PEALD are evident.
ALD Technologies for the Transparent Electrode of VCSEL
For the transparent electrode of VCSEL, ALD can deposit the electrode with high transparency and good current spreading properties [90]. In terms of the current spreading of the conventional VCSEL, the metal electrode has good current spreading properties, but strongly absorbs the emitted light. Hence, the conventional VCSEL relies on the upper heavily-doped layer for current spreading. However, since the upper heavily-doped layer has poor current spreading performance and absorbs part of the emitted light, the light output power of the device is lowered. Therefore, a transparent conductive oxide (TCO) film deposited by ALD, exhibiting excellent photoelectric performance, can solve the current spreading problem described above.
Figure 16 shows the SEM images of ZnO films deposited on Si with ALD and CVD modes as the transparent conductive materials, which can be applied to new-generation photovoltaic devices. So far, there are few studies and reports on the application of TCO films in VCSEL devices. At present, the indium tin oxide (ITO) films are used in VCSEL devices. In 1997, C. L. Chua et al. [91] first reported the top-emitted VCSEL with a transparent tin oxide electrode. In this study, the peak transmittance of ITO is 96%. In 2002, Jiang et al. [92] studied the P-type ohmic contact of ITO as an 850 nm GaAs-based oxide restricted type VCSEL. In comparison with VCSELs with traditional Ti/Au contact, they found output power of the VCSEL with ITO contact is 1.27 times higher than the VCSEL with T/Au contact. In 2014, Meng et al. [93] found that the output power of the 850 nm GaAs oxide-confined VCSEL with an ITO transparent conductive film is 1.18 times higher than the traditional VCSEL.
At present, the commonly used techniques for preparing TCO are magnetron sputtering, pulsed laser deposition, CVD, ALD, etc. However, it is difficult to achieve large-scale and large-area film formation using magnetron sputtering, the film deposited by pulsed laser deposition is uniform, and it is difficult to prepare large-area films while the deposition temperature for CVD is high, which renders it unsuitable for some devices that need to be prepared at low temperature. ALD possesses the advantage of highly controllable deposition parameters and the film deposited by it is characterized by good uniformity, absence of pinholes, and excellent shape preservation for film graphics. In this case, the oxide (TCO) film deposited by ALD can improve the properties of VCSELs.
Conclusions
This article reviews the application of ALD technology to the optoelectronic devices, µ-LEDs and VCSELs. Since sidewall damage is prominently observed in μ-LEDs when their sizes are reduced to the microscale level, this issue must be addressed to achieve high device performance. ALD sidewall passivation is a crucial technique because the sidewall damage can be reduced after passivating a surface with an ALD-grown dielectric. ALD passivation has also been found to help in protecting the quantum dot (QD) conversion layer in full-color displays. Different approaches involving the deposition of passivation layers have been established for reducing sidewall damage. In addition, an 85 °C/85% RH test with bias, which is a very difficult challenge for oxide-confined VCSEL devices, was conducted. In this study, we demonstrate that complex stacked dielectric layers as passivation films resist moisture ingress. The stacked passivation layers consist of Al2O3 growth by ALD film and SiNx growth by PECVD film. A very good encapsulation that prevented damage from moisture and excellent reliability was observed. In addition, the effects of ALD on the accurate control of DBR growth are specified. This technique has also been found to enhance the optical properties of MQWs, such as the deposition of an anti-reflection layer for improving the light extraction efficiency of a VCSEL and the accurate control of the growth of MQWs for improving its crystalline quality. In addition, because the output power of the VCSEL with an ITO transparent conductive film exceeds that of the traditional VCSEL, this study addresses the potential applications of ALD for preparing the TCO films of VCSELs.
Availability of data and materials
The data used and analyzed during the current study are available from the corresponding authors upon reasonable request.
Change history
07 February 2022
A Correction to this paper has been published: https://doi.org/10.1186/s11671-022-03664-w
Abbreviations
- PECVD:
-
Plasma-enhanced chemical vapor deposition
- ALD:
-
Atomic layer deposition
- LED:
-
Light emitting diode
- VCSEL:
-
Vertical cavity surface emitting laser
- CVD:
-
Chemical vapor deposition
- PVD:
-
Physical vapor deposition
- GPC:
-
Growth per cycle
- HKMG:
-
High-K metal gate
- FinFET:
-
Fin field-effect transistor
- WVTR:
-
Water vapor transmission rate
- OLED:
-
Organic light-emitting diode
- MEMS:
-
Micro-electro mechanical systems
- μLED:
-
Micro-LED
- WHTOL:
-
Wet high-temperature operation life
- OOK:
-
On–off keying
- PAM4:
-
Amplitude modulation 4-level
References
Suntola T, Antson J (1977) Method for producing compound thin films. Google Patents
Profijt H, Potts S, Van de Sanden M, Kessels W (2011) Plasma-assisted atomic layer deposition: basics, opportunities, and challenges. J Vac Sci TechnolA Vac Surf Films 29(5):050801
George S, Ott A, Klaus J (1996) Surface chemistry for atomic layer growth. J Phys Chem 100(31):13121–13131
Lee SM, Pippel E, Knez M (2011) Metal infiltration into biomaterials by ALD and CVD: a comparative study. ChemPhysChem 12(4):791–798
Sundqvist J, Hårsta A (eds) (2003) Growth of SnO2 thin films by ALD and CVD: a comparative study. In: Chemical vapor deposition XVI and EUROCVD 14 electrochemical society, 2003, Paris, France
Fraga M, Pessoa R (2020) Progresses in synthesis and application of SiC films: from CVD to ALD and from MEMS to NEMS. Micromachines 11(9):799
Bülow T, Gargouri H, Siebert M, Rudolph R, Johannes H-H, Kowalsky W (2014) Moisture barrier properties of thin organic–inorganic multilayers prepared by plasma-enhanced ALD and CVD in one reactor. Nanoscale Res Lett 9(1):1–6
Travis CD, Adomaitis RA (2013) Modeling ALD surface reaction and process dynamics using absolute reaction rate theory. Chem Vap Depos 19(1–3):4–14
Philip A, Thomas S, Kumar KR (2014) Calculation of growth per cycle (GPC) of atomic layer deposited aluminium oxide nanolayers and dependence of GPC on surface OH concentration. Pramana 82(3):563–569
Ithurria S, Talapin DV (2012) Colloidal atomic layer deposition (c-ALD) using self-limiting reactions at nanocrystal surface coupled to phase transfer between polar and nonpolar media. J Am Chem Soc 134(45):18585–18590
Van Bui H, Nguyen M, Wiggers FB, Aarnink AA, de Jong MP, Kovalgin AY (2014) Self-limiting growth and thickness-and temperature-dependence of optical constants of ALD AlN thin films. ECS J Solid State Sci Technol 3(4):P101
Wang H, Liu Y, Liu H, Chen Z, Xiong P, Xu X et al (2018) Effect of various oxidants on reaction mechanisms, self-limiting natures and structural characteristics of Al2O3 films grown by atomic layer deposition. Adv Mater Interfaces 5(14):1701248
Goto H, Shibahara K, Yokoyama S (1996) Atomic layer controlled deposition of silicon nitride with self-limiting mechanism. Appl Phys Lett 68(23):3257–3259
Ritala M, Leskelä M, Dekker JP, Mutsaers C, Soininen PJ, Skarp J (1999) Perfectly conformal TiN and Al2O3 films deposited by atomic layer deposition. Chem Vap Depos 5(1):7–9
Song J-G, Park J, Lee W, Choi T, Jung H, Lee CW et al (2013) Layer-controlled, wafer-scale, and conformal synthesis of tungsten disulfide nanosheets using atomic layer deposition. ACS Nano 7(12):11333–11340
Dingemans G, Van Helvoirt C, Pierreux D, Keuning W, Kessels W (2012) Plasma-assisted ALD for the conformal deposition of SiO2: process, material and electronic properties. J Electrochem Soc 159(3):H277
Zhao J, Gong B, Nunn WT, Lemaire PC, Stevens EC, Sidi FI et al (2015) Conformal and highly adsorptive metal–organic framework thin films via layer-by-layer growth on ALD-coated fiber mats. J Mater Chem A 3(4):1458–1464
Hwang CS (2014) Erratum to: Atomic layer deposition for semiconductors. Atomic layer deposition for semiconductors. E1-E
Sneh O, Clark-Phelps RB, Londergan AR, Winkler J, Seidel TE (2002) Thin film atomic layer deposition equipment for semiconductor processing. Thin Solid Films 402(1–2):248–261
Kim H (2003) Atomic layer deposition of metal and nitride thin films: current research efforts and applications for semiconductor device processing. J Vac Sci Technol B Microelectron Nanometer Struct Process Meas Phenom 21(6):2231–2261
Ovanesyan RA, Filatova EA, Elliott SD, Hausmann DM, Smith DC, Agarwal S (2019) Atomic layer deposition of silicon-based dielectrics for semiconductor manufacturing: current status and future outlook. J Vac Sci Technol A Vac Surf Films 37(6):060904
Zhao C, Xiang J (2019) Atomic layer deposition (ALD) of metal gates for CMOS. Appl Sci 9(11):2388
Chew SA, Yu H, Schaekers M, Demuynck S, Mannaert G, Kunnen E et al (eds) (2017) Ultralow resistive wrap around contact to scaled FinFET devices by using ALD-Ti contact metal. In: 2017 IEEE international interconnect technology conference (IITC). IEEE
Lu W, Lee Y, Murdzek J, Gertsch J, Vardi A, Kong L et al (eds) (2018) First transistor demonstration of thermal atomic layer etching: InGaAs FinFETs with sub-5 nm fin-width featuring in situ ALE-ALD. In: 2018 IEEE international electron devices meeting (IEDM). IEEE
Jurczak M, Collaert N, Veloso A, Hoffmann T, Biesemans S (eds) (2009) Review of FINFET technology. In: 2009 IEEE international SOI conference. IEEE
Siddiqui S, Chowdhury MM, Brodsky M, Rahim N, Dai M, Krishnan S et al (2013) Deposited ALD SiO2 high-k/metal gate interface for high voltage analog and I/O devices on next generation alternative channels and FINFET device structures. ECS Trans 53(3):137
Van Dal MJ, Vellianitis G, Duffy R, Doornbos G, Pawlak B, Duriez B et al (2008) Material aspects and challenges for SOI FinFET integration. ECS Trans 13(1):223
Endo K, Ishikawa Y, Matsukawa T, Liu Y, Sakamoto K, Tsukada J et al (2012) Enhancement of FinFET performance using 25-nm-thin sidewall spacer grown by atomic layer deposition. Solid State Electron 74:13–18
Carcia PF, McLean R, Reilly M, Groner M, George S (2006) Ca test of Al2O3 gas diffusion barriers grown by atomic layer deposition on polymers. Appl Phys Lett 89(3):031915
Seo S-W, Jung E, Chae H, Cho SM (2012) Optimization of Al2O3/ZrO2 nanolaminate structure for thin-film encapsulation of OLEDs. Org Electron 13(11):2436–2441
Park S-HK, Oh J, Hwang C-S, Lee J-I, Yang YS, Chu HY (2005) Ultrathin film encapsulation of an OLED by ALD. Electrochem Solid State Lett 8(2):H21
Park J-S, Chae H, Chung HK, Lee SI (2011) Thin film encapsulation for flexible AM-OLED: a review. Semicond Sci Technol. 26(3):034001
Park SHK, Oh J, Hwang CS, Lee JI, Yang YS, Chu HY et al (2005) Ultra thin film encapsulation of organic light emitting diode on a plastic substrate. ETRI J 27(5):545–550
Yoon J, Kwon H, Lee M, Yu Y, Cheong N, Min S et al (eds) (2015) 65.1: invited paper: world 1st large size 18‐inch flexible OLED display and the key technologies. In: SID symposium digest of technical papers. Wiley Online Library
Carcia P, McLean R, Groner M, Dameron A, George S (2009) Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition. J Appl Phys 106(2):023533
Xiao W, Hui DY, Zheng C, Yu D, Qiang YY, Ping C et al (2015) A flexible transparent gas barrier film employing the method of mixing ALD/MLD-grown Al2O3 and alucone layers. Nanoscale Res Lett 10(1):1–7
Zhang H, Ding H, Wei M, Li C, Wei B, Zhang J (2015) Thin film encapsulation for organic light-emitting diodes using inorganic/organic hybrid layers by atomic layer deposition. Nanoscale Res Lett 10(1):1–5
Song HW, Han W, Kim J, Kim J-H, KoPark S-H (2006) Long-wavelength InAlGaAs VCSELs with Al2O3 embedded current-confinement apertures. Electron Lett 42(14):808–809
Song H, Han WS, Kim J, Kim J, KoPark S (eds). 1.5 μm InAlGaAs/InP VCSELs with Al2O3 embedded apertures. LEOS 2006-19th annual meeting of the IEEE lasers and electro-optics society. IEEE
Milojevic M, Aguirre-Tostado FS, Hinkle CL, Kim HC, Vogel EM, Kim J, Wallace RM (2008) Half-cycle atomic layer deposition reaction studies of Al2O3 on In0.2Ga0.8As surfaces. Appl Phys Lett 93(20):202902
Shen C-C, Lu Y-T, Yeh Y-W, Chen C-Y, Chen Y-T, Sher C-W et al (2019) Design and fabrication of the reliable GaN based vertical-cavity surface-emitting laser via tunnel junction. Curr Comput Aided Drug Des 9(4):187
Shen C-C, Hsu T-C, Yeh Y-W, Kang C-Y, Lu Y-T, Lin H-W et al (2019) Design, modeling, and fabrication of high-speed VCSEL with data rate up to 50 Gb/s. Nanoscale Res Lett 14(1):1–6
Tzou A-J, Chu K-H, Lin I-F, Østreng E, Fang Y-S, Wu X-P et al (2017) AlN surface passivation of GaN-based high electron mobility transistors by plasma-enhanced atomic layer deposition. Nanoscale Res Lett 12(1):1–6
Huang Y-M, Ahmed T, Liu A-C, Chen S-WH, Liang K-L, Liou Y-H et al (2021) High-stability quantum dot-converted 3-in-1 full-color mini-light-emitting diodes passivated with low-temperature atomic layer deposition. IEEE Trans Electron Devices 68(2):597–601
Van Delft J, Garcia-Alonso D, Kessels W (2012) Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing. Semicond Sci Technol. 27(7):074002
Foong TR, Shen Y, Hu X, Sellinger A (2010) Template-directed liquid ALD growth of TiO2 nanotube arrays: properties and potential in photovoltaic devices. Adv Funct Mater 20(9):1390–1396
Bakke JR, Pickrahn KL, Brennan TP, Bent SF (2011) Nanoengineering and interfacial engineering of photovoltaics by atomic layer deposition. Nanoscale 3(9):3482–3508
Sopha H, Salian GD, Zazpe R, Prikryl J, Hromadko L, Djenizian T et al (2017) ALD Al2O3-coated TiO2 nanotube layers as anodes for lithium-ion batteries. ACS Omega 2(6):2749–2756
Liu J, Sun X (2014) Elegant design of electrode and electrode/electrolyte interface in lithium-ion batteries by atomic layer deposition. Nanotechnology. 26(2):024001
Lotfabad EM, Kalisvaart P, Kohandehghan A, Cui K, Kupsta M, Farbod B et al (2014) Si nanotubes ALD coated with TiO2, TiN or Al2O3 as high performance lithium ion battery anodes. J Mater Chem A 2(8):2504–2516
Puurunen RL, Saarilahti J, Kattelus H (2007) Implementing ALD layers in MEMS processing. ECS Trans 11(7):3
Hoivik N, Elam J, George S, Gupta K, Bright V, Lee Y (eds) (2002) Atomic layer deposition (ALD) technology for reliable RF MEMS. In: 2002 IEEE MTT-S international microwave symposium digest (Cat No 02CH37278). IEEE
Bakri-Kassem M, Mansour RR (2008) Linear bilayer ALD coated MEMS varactor with high tuning capacitance ratio. J Microelectromech Syst 18(1):147–153
Lai S, Li Q, Long H, Ying L, Zheng Z, Zhang B (2021) Theoretical study and optimization of the green InGaN/GaN multiple quantum wells with pre-layer. Superlattices Microstruct 155:106906
Chen S-WH, Shen C-C, Wu T, Liao Z-Y, Chen L-F, Zhou J-R et al (2019) Full-color monolithic hybrid quantum dot nanoring micro light-emitting diodes with improved efficiency using atomic layer deposition and nonradiative resonant energy transfer. Photonics Res 7(4):416–22
Boussadi Y, Rochat N, Barnes J-P, Bakir BB, Ferrandis P, Masenelli B et al (2021) Investigation of sidewall damage induced by reactive ion etching on AlGaInP MESA for micro-LED application. J Lumin 234:117937
Paranjpe A, Montgomery J, Lee SM, Morath C (eds) (2018) 45‐2: invited paper: micro‐LED displays: key manufacturing challenges and solutions. In: SID symposium digest of technical papers. Wiley Online Library
Han S, Xu C, Li H, Liu S, Xu H, Zhu Y et al (2021) AlGaInP-based Micro-LED array with enhanced optoelectrical properties. Opt Mater 114:110860
Leskel M, Ritala M (2003) Atomic layer deposition chemistry: recent developments and future challenges. Angew Chem Int Ed 42:5548–5554
Chen S-WH, Huang Y-M, Chang Y-H, Lin Y, Liou F-J, Hsu Y-C et al (2020) High-bandwidth green semipolar (20–21) InGaN/GaN micro light-emitting diodes for visible light communication. ACS Photonics 7(8):2228–35
Raaijmakers I, Soininen PT, Granneman E (2005) Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition. US
Zhu B, Wu X, Liu WJ, Ding SJ, Zhang DW, Fan Z (2019) Dielectric enhancement of atomic layer-deposited Al2O3/ZrO2/Al2O3 mim capacitors by microwave annealing. Nanoscale Res Lett 14(1):1–6
Liu A, Wolf P, Lott JA et al (2019) Vertical-cavity surface-emitting lasers for data communication and sensing. Photonics Res 7(2):121
Wong MS, Hwang D, Alhassan AI, Lee C, Ley R, Nakamura S et al (2018) High efficiency of III-nitride micro-light-emitting diodes by sidewall passivation using atomic layer deposition. Opt Express 26(16):21324–21331
Kishino K, Yamano K (2014) Green-light nanocolumn light emitting diodes with triangular-lattice uniform arrays of InGaN-based nanocolumns. IEEE J Quantum Electron 50(7):538–547
Chen S-WH, Huang Y-M, Singh KJ, Hsu Y-C, Liou F-J, Song J et al (2020) Full-color micro-LED display with high color stability using semipolar (20–21) InGaN LEDs and quantum-dot photoresist. Photonics Res 8(5):630–636
Wong MS, Kearns JA, Lee C, Smith JM, Lynsky C, Lheureux G et al (2020) Improved performance of AlGaInP red micro-light-emitting diodes with sidewall treatments. Opt Express 28(4):5787–5793
Huang H-H, Huang S-K, Tsai Y-L, Wang S-W, Lee Y-Y, Weng S-Y et al (2020) Investigation on reliability of red micro-light emitting diodes with atomic layer deposition passivation layers. Opt Express 28(25):38184–38195
Liu A-C, Singh KJ, Huang Y-M, Ahmed T, Liou F-J, Liou Y-H et al (2021) Increase in the efficiency of III-nitride micro-LEDs: atomic-layer deposition and etching. IEEE Nanatechnol Mag 15(3):18–34
Ishitani T, Kubota D, Nakano M, Kubota Y, Moriya K, Yamashita A et al (2016) Highly reliable mobile liquid crystal display using alox deposited by atomic layer deposition for side sealing structure. J Soc Inf Disp 23(10–12):503–509
Zhou B, Liu M, Wen Y, Li Y, Chen R (2020) Atomic layer deposition for quantum dots based devices. Opto Electron Adv 3(9):14
Xie S, Herrick RW, Chamberlin D, Rosner S, McHugo S, Girolami G et al (2003) Failure mode analysis of oxide VCSELs in high humidity and high temperature. J Lightwave Technol 21(4):1013
Herrick RW, Dafinca A, Farthouat P, Grillo AA, McMahon SJ, Weidberg AR (2013) Corrosion-based failure of oxide-aperture VCSELs. IEEE J Quantum Electron 49(12):1045–1052
Widjaja WH, Hu F (2004) Moisture passivated planar index-guided VCSEL. Google Patents
DeBrabander GN, Herrick RW, Xie S, Slater MC (2006) Detecting pinholes in vertical cavity surface-emitting laser passivation. Google Patents
Pan JS, Wu CJ, Wu IH, Tseng KF (2014) Method for fabricating oxide-confined vertical-cavity surface-emitting laser. Google Patents
Wang H-L, Qiu J, Yu X, Feng M, Holonyak N Jr. (2018) 85°C operation of 850 nm VCSELs deliver a 42 Gb/s error-free data transmission for 100 meter MMF link. In: Optical fiber communications conference, paper W1I.6
Peng C-Y, Cheng H-T, Kuo H-C et al (2020) Design and optimization of vcsels for up to 40-Gb/s error-free transmission through impurity-induced disordering. IEEE Trans Electron Devices
Lo W-C, Wei-Li Wu, Cheng C-H, Wang H-Y, Tsai C-T, Chao-Hsin Wu, Lin G-R (2021) Effect of chirped dispersion and modal partition noise on multimode VCSEL encoded with NRZ-OOK and PAM-4 formats. IEEE J Sel Top Quantum Electron 28(1):1500409
Chen H, Wang S, Bing S, Hao G, Liu H (2012) Backside reflector using metallic mirror and ALD-TiO2/Al2O3 DBR for GaN-based LED. In: 2012 IEEE 11th international conference on solid-state and integrated circuit technology (ICSICT). IEEE
Huffaker DL, Deppe DG (1997) Half-wave cavity vertical-cavity surface-emitting lasers with native oxide/GaAs lower distributed Bragg reflectors. International Society for Optics and Photonics
Liu H, Jensen L, Ma P, Ristau D (2018) Ald anti-reflection coatings at 1ω, 2ω, 3ω, and 4ω for high-power ns-laser application. Adv Opt Technol 7(1–2):23–31
Hao G, Zhang X, Chen H, Zhang P, Cui Y (2013) High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector. Opt Express 21(18):21456–21465
Sakai T, Kushimoto M, Zhang Z, Sugiyama N, Schowalter LJ, Honda Y et al (2020) On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR. Appl Phys Lett 116(12):122101
Wei B, Han Y, Wang Y, Zhao H, Sun B, Yang X et al (2020) Tunable nanostructured distributed bragg reflectors for iii-nitride optoelectronic applications. RSC Adv 10:23341–23349
Maoult CL, Vaufrey D, Martin F, Martinez E, Gheeraert E (2020) Analysis of InGaN surfaces after chemical treatments and atomic layer deposition of Al2O3 for LED applications. Proc Spie, 11280
Lo MH, Li ZY, Chen SW, Hong JC, Wang SC (2008) AlGaN/GaN multiple quantum wells grown by using atomic layer deposition technique. In: MRS online proceeding library archive, vol 1068, pp 68941V–68941V-9
Bosund M, Aierken A, Tiilikainen J, Hakkarainen T, Lipsanen H (2008) Passivation of gaas surface by atomic-layer-deposited titanium nitride. Appl Surf Sci 254(17):5385–5389
Lee HJ, Park GH, So JS, Kim HG, Kwac LK, An WC (2019) Effect of a highly doped emitting window for increasing output power of 860-nm gaas vcsels. Infrared Phys Technol 98:292–296
Muñoz-Rojas D, Nguyen VH, de la Huerta CM, Aghazadehchors S, Jiménez C, Bellet D (2017) Spatial atomic layer deposition (SALD), an emerging tool for energy materials. Application to new-generation photovoltaic devices and transparent conductive materials. C R Phys 18(78):391–400
Chua CL, Thornton RL, Treat W, Donaldson RM (1998) Independently addressable vcsel arrays on 3-μm pitch. IEEE Photonics Technol Lett 10(7):917–919
Jiang WJ, Chen LC, Wu MC, Yu HC, Yang HP, Sung CP et al (2002) A new process to improve the performance of 850 nm wavelength GaAs VCSELs. Solid State Electron 46(12):2287–2289
Meng X, Chen X, Wang J, Jie L, Yan XZ (2014) The effect of indium tin oxide as transparent conductive layer for oxide-confined VCSELs. Optoelectronic Devices & Integration
Acknowledgements
We thank the reviewers for their valuable comments.
Funding
This research was supported by the National Natural Science Foundation of China (11904302), Science and Technology Plan Project in Fujian Province of China (2021H0011), Major Science and Technology Project of Xiamen, China (3502Z20191015), and Hong Kong University of science and technology - Foshan joint research program (FSUST19-FYTRI11).
Author information
Authors and Affiliations
Contributions
YWY, SHL, TCH, SL, PTL, SYL, DSW, GL, ZC, TW, and HCK were involved in discussions and experiments. SYL, DSW, GL, ZC, and HCK participated in analysis and discussions. YWY, SHL, TCH, and TW drafted the manuscript. All authors read and approved the final manuscript.
Corresponding authors
Ethics declarations
Competing interests
The authors declare that they have no competing interests.
Additional information
Publisher's Note
Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.
Rights and permissions
Open Access This article is licensed under a Creative Commons Attribution 4.0 International License, which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons licence, and indicate if changes were made. The images or other third party material in this article are included in the article's Creative Commons licence, unless indicated otherwise in a credit line to the material. If material is not included in the article's Creative Commons licence and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this licence, visit http://creativecommons.org/licenses/by/4.0/.
About this article
Cite this article
Yeh, YW., Lin, SH., Hsu, TC. et al. Advanced Atomic Layer Deposition Technologies for Micro-LEDs and VCSELs. Nanoscale Res Lett 16, 164 (2021). https://doi.org/10.1186/s11671-021-03623-x
Received:
Accepted:
Published:
DOI: https://doi.org/10.1186/s11671-021-03623-x